当前位置: 首页 > news >正文

电子政务网站开发互联网营销平台

电子政务网站开发,互联网营销平台,网站建设logo显示怎么设置,网站海外推广方案简述 波形在Simulation/Emulation中地位十分重要,尤其是在研发初期,只能通过波形来查看软件hang住的位置。 对于TLM来说,查看波形一般是指查看pvbus上的transaction,而对于SystemC本身来说,查看波形就是使用Gtkwave或…

简述

波形在Simulation/Emulation中地位十分重要,尤其是在研发初期,只能通过波形来查看软件hang住的位置。
对于TLM来说,查看波形一般是指查看pvbus上的transaction,而对于SystemC本身来说,查看波形就是使用Gtkwave或其他EDA工具,查看Module的input/output的时序输入/输出,其本质和硬件设计的Verilog/VHDL的波形基本一样。

工具准备

我在MacOS下使用的是GtkWave来查看生成的波形,systemc里一般使用vcd文件来记录波形。
GtkWave在MacOS下的安装非常简单,直接brew即可:

brew install gtkwave

测试代码

代码准备

下面代码的主体实际是gpt生成的,主要修改了一些编译的bug。
整个代码逻辑非常简单,就是一个timer模块,输入是1ns周期的clk,输出是根据clk,每15ns为一个周期,高电平输出5ns,低电平输出15ns。
具体的代码含义已经在注释中,不再赘述。
sc_create_vcd_trace_file是用于抓取信号vcd波形的接口。

#include <systemc.h>SC_MODULE(timer)
{sc_in_clk clk;      // 输入时钟信号sc_out<bool> pulse; // 输出脉冲信号SC_CTOR(timer){// 在时钟上升沿触发的进程SC_THREAD(process);sensitive << clk.pos();}// 进程定义void process(){while(true) {pulse.write(true);  // 输出高电平wait(5, SC_NS);     // 等待5ns(即1个clk周期)pulse.write(false); // 输出低电平wait(10, SC_NS);    // 等待10ns(即1个clk周期)}}
};int sc_main(int argc, char *argv[])
{sc_clock clk("clk", 1, SC_NS); // 创建1ns周期的时钟信号timer t("timer");              // 创建timer模块实例sc_buffer<bool> pulse_out;// 将时钟信号连接到timer模块t.clk(clk);// 将pulse_out信号连接到timer模块t.pulse(pulse_out);// 输出脉冲信号绑定到名为"pulse"的终端sc_trace_file *tf = sc_create_vcd_trace_file("timer");sc_trace(tf, t.clk, "clk");sc_trace(tf, t.pulse, "pulse");// 开始仿真sc_start(50 * 10, SC_NS); // 仿真50*10个clk周期// 关闭波形文件sc_close_vcd_trace_file(tf);return 0;
}

编译执行

如下,按照正常的编译执行:
![在这里插入图片描述](https://img-blog.csdnimg.cn/direct/d25d007f70194365b7e
执行完.x文件后,目录下生成了一个vcd文件。

查看波形

用gtkwave打开vcd文件,选取clk和pulse信号,查看其输出,发现和代码设计一致,说明我们代码写的没问题 😃
在这里插入图片描述

btw: GtkWave在Windows下速度超级拉胯,在MacOS下速度竟然很流畅,有点意外。。。。可能GtkWave原本是为Unix系统设计的??

http://www.ds6.com.cn/news/64633.html

相关文章:

  • idea怎么做网站百度词条优化
  • 微信开发小程序公司seo智能优化
  • ecshop 网站地图插件百度app下载安装 官方
  • 做一网站要什么时候开始排名优化工具
  • 中国电影家协会是什么级别seo概念的理解
  • 卢松松网站的百度广告怎么做的企业网站大全
  • 珠海网站设计费用代写文案的软件
  • 网站建设考试卷a卷搜索引擎的优化方法
  • cms网站设计10种营销方法
  • 用KEGG网站做通路富集分析友情链接如何添加
  • 网站推广要具备什么如何找做网站的公司
  • 网站规划设计的一般流程简述seo的优化流程
  • 新民个人网站建设优势百度推广北京总部电话
  • 企业网站phpseo优化工作怎么样
  • 北京移动端网站建设外贸网站优化推广
  • 网站设计基本要求网站排名软件包年
  • 网站开发技术的发展流程网站下载免费软件
  • 衡水网站公司2023年第三波疫情9月
  • 网站提交收录入口链接网店
  • 做淘宝还有必要做网站吗南宁seo平台标准
  • 全功能多国语言企业网站百度明星人气榜入口
  • 隆基泰和 做网站专业seo排名优化费用
  • asp网站模板源码免费无限下载登封seo公司
  • 中国水电建设集团港航建设有限公司网站百度seo优化按年收费
  • 网购网站建设论文网站自动秒收录工具
  • 网站死链存在的问题怎么制作一个网站
  • 3g微网站直接登录的网站
  • 桐梓网站开发网络竞价推广托管公司
  • 澳门响应式网站建设网络推广网站程序
  • 网站关键词优化合同google chrome网页版