当前位置: 首页 > news >正文

wordpress主题使用廊坊百度推广seo

wordpress主题使用,廊坊百度推广seo,大连做企业网站排名,网站建设优化服务价位FPGA使用sv生成虚拟单音数据 之前一直使用matlab生成虚拟的数据,导出到txt或是coe文件中,再导入到fpga中进行仿真测试。 复杂的数据这样操作自然是必要的,但是平日使用正弦数据进行测试的话,这样的操作不免复杂,今日…

FPGA使用sv生成虚拟单音数据

之前一直使用matlab生成虚拟的数据,导出到txt或是coe文件中,再导入到fpga中进行仿真测试。

复杂的数据这样操作自然是必要的,但是平日使用正弦数据进行测试的话,这样的操作不免复杂,今日尝试使用systemverilog虚拟单音数据,并存入到txt文件。

module top_tb();localparam FRACTIONAL_BITS = 7;  // 7位小数,1位符号localparam SCALE = 1<<FRACTIONAL_BITS;logic signed [7:0] fixed_sin[0:9];real float_sin;int file;initialbegin// 生成正弦数据for (int i = 0;i<10;i++)beginfloat_sin = $sin(2*3.1415926 *i/10);fixed_sin[i] = $rtoi(float_sin*SCALE);$display("i=%d, float_sin=%f, fixed_sin=%d",i,float_sin,fixed_sin[i]);end// 写入文件file = $fopen("../../../../fixed_sin.txt","w");$fdisplay(file,"虚拟生成的正弦数据:");for (int i = 0;i<10;i++)begin$fdisplay(file,"%d",fixed_sin[i]);end$fclose(file);end
endmodule

本地txt文件

tcl观察display

http://www.ds6.com.cn/news/30403.html

相关文章:

  • 晋中市政府门户网站产品宣传方式有哪些
  • 自己做企业网站各大搜索引擎收录入口
  • 上线了怎么建网站个人网站设计欣赏
  • 怎么建立图片的网站阿里云建网站
  • 学习做网站是什么专业国内最新消息新闻
  • 西南交通建设集团网站seo交流中心
  • 佛山市网站建设分站哪家好站长工具流量统计
  • 化工产品网站建设网店如何营销推广
  • 沈阳手机网站建设企业网站设计价格
  • 国外logo设计网站推荐seo推广公司价格
  • 东莞网站建设哪家公司好拼多多关键词排名查询软件
  • 顺德做外贸网站宁德市有几个区几个县
  • 网络推广免费网站我的百度账号登录
  • 微网站怎么做的好名字360优化大师下载安装
  • 网站板块怎么做b站推广链接
  • 新公司网站设计百度关键词快速优化
  • 建设银行安全网站深圳推广网络
  • 免费做app的网站百度营销后台
  • 免费php mysql网站软件推广平台有哪些?哪个比较好
  • 有专门做食品的网站吗推荐seo关键词优化
  • 视频解析接口网站怎么做百度学术官网首页
  • 如何做网站逻辑结构图企业管理培训
  • 泰州网站建设方案海外免费网站推广
  • 提供网站设计方案公司哪个网站做推广效果好
  • 打车网站开发网站怎么做到秒收录
  • 手机网站建设的背景2022真实新闻作文400字
  • 网站建设与制作教程北华大学百度seo怎么收费
  • 浏览器谷歌手机版下载谷歌seo课程
  • 网站建设服务器软件站长之家alexa排名
  • 网站虚拟旅游怎么做的360指数查询工具