当前位置: 首页 > news >正文

合肥网版制作seo对网络推广的作用是什么?

合肥网版制作,seo对网络推广的作用是什么?,win wordpress运行环境,个人房源网目录 1.移位实现LED流水灯 1.1创建工程及源文件代码 1.1.1源代码 1.1.2仿真代码 1.1.3仿真 1.2实验结果 1.2.1总结 2.循环移位实现LED流水灯 3.38译码器实现LED流水灯 3.1原理 3.2源程序 1.移位实现LED流水灯 1.1创建工程及源文件代码 1.1.1源代码 利用计数器计数到…

目录

1.移位实现LED流水灯

1.1创建工程及源文件代码

1.1.1源代码

1.1.2仿真代码

 1.1.3仿真

1.2实验结果

1.2.1总结

2.循环移位实现LED流水灯

3.38译码器实现LED流水灯

3.1原理

3.2源程序


1.移位实现LED流水灯

1.1创建工程及源文件代码

1.1.1源代码

利用计数器计数到500ms,让LED的8位每次左移一位。当复位后/移动至最左边时,让LED灯归位。犯的错误:1.counter<=counter+1'd1,写成了等号,导致仿真波形偶数的led灯无高电平,就是一个瞬间的脉冲,还有其他几处。

module run_led(clk,reset,led);input clk;input reset;output reg [7:0]led;reg [24:0]counter;always@(posedge clk or negedge reset)if(!reset)counter<=0;else if(counter == 25_000_000-1)counter<=0;elsecounter<=counter+1'd1;always@(posedge clk or negedge reset)if(!reset)led<=8'b0000_0001;else if(counter == 25_000_000-1)beginif(led==8'b1000_0000 | led==8'b0000_0000)led<=8'b0000_0001;elseled<=led<<1;endelseled<=led;endmodule

1.1.2仿真代码

时钟也是每10ns翻转一次,复位信号刚开始为低电平,延时201ns后变高,再延时4s,包装8个led灯的翻转。

`timescale 1ns / 1nsmodule run_led_tb();
reg clk;
reg reset;
wire [7:0]led;
run_led run_led(.clk(clk),.reset(reset),.led(led)
);initial clk=0;
always #10 clk=~clk;initial begin
reset=0;
#201;
reset=1;
#2000_000_000;
#2000_000_000;
$stop;
end;endmodule

 1.1.3仿真

与预期一致,然后选择好引脚,烧录程序到开发板。

1.2实验结果

8个LED灯循环闪烁,与仿真波形一致。

1.2.1总结

修改源代码后,保存后还要重新生成bit文件才行,不然烧录的还是之前的程序。

2.循环移位实现LED流水灯

即将LED的前6位与第7位拼接起来,即可每次循环转一次。实验现象与之前一致。

 beginled<={led[6:0],led[7]};end

3.38译码器实现LED流水灯

3.1原理

将之前写的38译码器模块直接调用,使用一个计数器循环计数0~7,将对应的值直接对应到输出。

3.2源程序

添加新的源文件,将1部分的代码复制,调用之前的38模块,添加现有源文件,需要勾选第二个copy框,意思是复制38译码器到这个工程来,否则就是在原来的工程上进行修改。

1.3位的计数器计满后不用管,自动溢出清0。

2.关于LED的驱动问题,led是由下一层38译码器驱动,run_led2作为上层,led只是起到一根导线的作用,从下层穿透到上层,最终作为端口引出。任何一个模块,在被例化的时候,连接到其输出端口的信号,都应该是wire型。

module run_led2(clk,reset,led);input clk;input reset;output wire [7:0]led;reg [24:0]counter;always@(posedge clk or negedge reset)if(!reset)counter<=0;else if(counter == 25_000_000-1)counter<=0;elsecounter<=counter+1'd1;reg [2:0]counter2;always@(posedge clk or negedge reset)if(!reset)counter2<=0;else if(counter == 25_000_000-1)counter2<=counter2+1'd1; decoder_3_8 decoder_3_8(.A2(counter2[2]),.A1(counter2[1]),.A0(counter2[0]),.Y0(led[0]),.Y1(led[1]),.Y2(led[2]),.Y3(led[3]),.Y4(led[4]),.Y5(led[5]),.Y6(led[6]),.Y7(led[7])
);  endmodule

实验现象与之前一样。 

http://www.ds6.com.cn/news/24526.html

相关文章:

  • 支付网站认证费用怎么做分录2024年阳性最新症状
  • 公共资源交易中心是事业单位吗济南seo整站优化价格
  • 有没有做维修的网站营销云
  • 网站服务器暂时不可用怎么办企业门户网站模板
  • 织梦网站模板教程seo广告优化多少钱
  • 邯郸专业做wap网站seo技术平台
  • 电子商务网站 方案今天国际新闻最新消息
  • c#做asp.net网站推广普通话的文字内容
  • 网站 关键字h5网站制作平台
  • 杭州微网站建设在百度怎么发布作品
  • 遂川网站建设磁力天堂最佳搜索引擎入口
  • 做视频网站如何赚钱steam交易链接可以随便给别人吗
  • 珠海哪里做网站的如何使用网络营销策略
  • 做独立网站的好处网络营销的基本方法有哪些
  • 有什么免费做h5的素材网站怎样在网上做推广
  • 珠海移动网站建设公司怎么制作seo搜索优化
  • 网站如何做中英文效果舆情监测系统排名
  • 广州网站设计制作公司有哪些18款禁用软件黄app免费
  • 静海县建设委员会网站济南seo公司报价
  • 个人网站能 做淘客吗seo厂家电话
  • 西宁做网站君博认同免费网站推广网站在线
  • 免费建小程序网站免费网页设计制作网站
  • 自己制作app的应用程序河南新站关键词排名优化外包
  • 网站维护方案怎么做谷歌搜索引擎优化
  • 有一个私人做慈善的网站上海搜索引擎推广公司
  • 合肥做网站的软件公司关键词怎么做快速的有排名
  • 怎样跟网站做优化呢信息流广告推广
  • 今日军事新闻头条打仗浙江seo推广
  • 志鸿优化设计yoast seo
  • 旅行志 wordpress中山seo关键词