当前位置: 首页 > news >正文

网站线上投票怎样做广州顶正餐饮培训学校

网站线上投票怎样做,广州顶正餐饮培训学校,网页游戏排行nvsheng,如何开通微信公众号平台牛客网Verilog刷题——VL51 题目答案 题目 请编写一个十六进制计数器模块,计数器输出信号递增每次到达0,给出指示信号zero,当置位信号set 有效时,将当前输出置为输入的数值set_num。模块的接口信号图如下: 模块的时序图…

牛客网Verilog刷题——VL51

  • 题目
  • 答案

题目

  请编写一个十六进制计数器模块,计数器输出信号递增每次到达0,给出指示信号zero,当置位信号set 有效时,将当前输出置为输入的数值set_num。模块的接口信号图如下:

在这里插入图片描述
  模块的时序图如下:

在这里插入图片描述
  输入输出描述:

信号类型输入/输出位宽描述
clkwireInput1系统时钟信号
rst_nwireInput1异步复位信号,低电平有效
setwireInput1置位指示信号,当该信号有效时,表示将输出信号强制置为set_num
set_numwireInput44比特信号,当set信号有效时,将该信号的数字赋予输出信号number
zeroregOutput1过零指示信号,当number计数到0时,该信号为1,其余时刻为0
numberregOutput44比特位宽,表示计数器的当前读数

答案

`timescale 1ns/1nsmodule count_module(input clk,input rst_n,input set,input [3:0] set_num,output reg [3:0]number,output reg zero);reg [3:0] r_number;always @(posedge clk or negedge rst_n)if(!rst_n)r_number <= 4'd0;else if(set)r_number <= set_num;else if(r_number == 4'd15)r_number <= 4'd0;elser_number <= r_number + 1'b1;always @(posedge clk or negedge rst_n) if(!rst_n)zero <= 1'b0;else if(r_number=='d0)zero <= 1'b1;elsezero <= 1'b0;always @(posedge clk or negedge rst_n) if(!rst_n)number <= 'd0;elsenumber <= r_number;endmodule
http://www.ds6.com.cn/news/21920.html

相关文章:

  • sqlite树莓派 wordpress安卓优化大师手机版下载
  • 比较好的网站建设公司电话天津seo
  • 网站怎么公安备案惠州seo外包服务
  • 广州分公司注册seo优化工作内容
  • 做简历的网站有哪些怎么让某个关键词排名上去
  • 汕头做网站费用最有效的恶意点击软件
  • 公司网站建设推广一款app的营销方案
  • 推荐企业门户网站建设推广商
  • 北仑网站建设网站种子搜索神器下载
  • 廊坊网站建设电话福州百度快速优化排名
  • 南昌网站建设公司网站建设公司seo优化网站的注意事项
  • 做外贸网站有哪些网站优化策略
  • 效果好的手机网站建设fifa最新世界排名
  • 广州手表网站产品推广方法
  • 上传网站空间凡科网微信小程序
  • 网站banner尺寸大小360开户推广
  • 在线聊天网站建设广告营销策略有哪些
  • 外贸网站制作淘宝推广方式
  • 做餐饮要看的网站微信上怎么做广告推广
  • 鞍山网站制作推广十大营销策略
  • 企业怎么做网站建设怎么查百度竞价关键词价格
  • 设计非常漂亮的网站推广营销app
  • Ext做网站小时seo百度关键词点击器
  • 视频网站怎么做采集百度排名优化专家
  • 高水平网站运营托管网络营销seo优化
  • js wordpress 菜单管理系统宁波seo优化公司
  • 提升网站建设品质公司十堰seo排名公司
  • 网站的文案站外引流推广渠道
  • 专业建站商唐山seo优化
  • 500套wordpress模板下载seo网络营销案例分析