当前位置: 首页 > news >正文

重庆seo网站建设优化百度问答平台入口

重庆seo网站建设优化,百度问答平台入口,企业网站注册申请,汕头网络危机公关专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网 自动贩售机中可能存在的几种金额:0,0.5,1,1.5,2,2.5,3。然后直接将其作为状态机的几种状…
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

自动贩售机中可能存在的几种金额:0,0.5,1,1.5,2,2.5,3。然后直接将其作为状态机的几种状态,并根据投币面额确定状态转移。

需要注意的是:根据时序图,可以发现在找零时,out2输出的结果是找零数额的两倍,即找零0.5应输出1,找零1应输出2,以此类推。

`timescale 1ns/1ns
module seller1(input wire clk  ,input wire rst  ,input wire d1 ,input wire d2 ,input wire d3 ,output reg out1,output reg [1:0]out2
);
//*************code***********//parameter S0 = 0, S0_5 = 1, S1 = 2, S1_5 = 3, S2 = 4, S2_5 = 5, S3 = 6 ; reg [2:0] state, nstate ;always @ (posedge clk or negedge rst) begin if (~rst) state <= S0 ; else state <= nstate ; endalways @ (*) begin case (state) S0 : nstate = d1 ? S0_5 : d2 ? S1 : d3 ? S2 : nstate ;S0_5 : nstate = d1 ? S1 : d2 ? S1_5 : d3 ? S2_5 : nstate ; S1 : nstate = d1 ? S1_5 : d2 ? S2 : d3 ? S3 : nstate ; S1_5, S2, S2_5, S3 : nstate = S0 ; default : nstate = S0 ; endcase endalways @ (*) begin if (~rst) out1 <= 'd0 ; else out1 <= state == S1_5 || state == S2 || state == S2_5 || state == S3 ; endalways @ (*) begin if (~rst) out2 <= 'd0 ; else case (state) S0, S0_5, S1, S1_5 : out2 <= 1'd0 ; S2 : out2 <= 1'd1 ; S2_5 : out2 <= 2'd2 ; S3 : out2 <= 2'd3 ; default : out2 <= 'd0 ; endcaseend 	//*************code***********//
endmodule
http://www.ds6.com.cn/news/102093.html

相关文章:

  • ui设计网站开发电视剧排行榜
  • flash网站开源友缘在线官网
  • 广东网络公司网站建设优势的seo网站优化排名
  • 武汉制作网站的公司地址收录情况
  • 韩语网站建设360优化大师安卓手机版下载安装
  • 网站怎么做图片链接制作网页的基本步骤
  • 动漫网页设计图片企业关键词优化最新报价
  • 网站做短信接口具体方法app如何推广以及推广渠道
  • 晨光文具网站建设策划书制作网站需要什么
  • 微信电脑版网站推广与优化平台
  • 新闻静态网站模板下载长春网站搭建
  • 做政协网站的目的是什么seo优化工作内容做什么
  • 有一个做场景动画的网站搜索引擎优化趋势
  • WordPress破解主题ssmay新媒体seo指的是什么
  • 创建全国文明城市工作要求太原关键词优化公司
  • 广州网站建设集团友情链接的定义
  • php动态网站开发第四章答案营销推广投放平台
  • 武汉百度快速优化如何seo搜索引擎优化
  • 泉州响应式网站建设2023年新闻热点事件
  • 做网站用什么服务器比较好徐州做网站的公司
  • 个人网站做哪种能赚钱关键词查询的五种常用工具
  • 网站建设需要租用什么科目网站营销推广
  • 西宁哪家公司做网站千锋教育的真实性
  • 郑州pc网站开发北京软件开发公司
  • 个人网站推广渠道 微博 贴吧贴吧友情链接在哪
  • 嘉兴建设局网站免费推广网站地址大全
  • 邢台本地网站网站推广主要是做什么
  • 经典网站设计搜索排名广告营销怎么做
  • 没有备案的交易网站自动外链网址
  • 有专做代金券的网站吗海南百度推广开户